Title: Impact of deflection history based priority on adaptive deflection router for mesh NoCs

Authors: Elizabeth Isaac; M. Rajasekhara Babu; John Jose

Addresses: VIT University, Vellore, 632001, India ' School of Computing Science and Engineering, VIT University, Vellore, 632001, India ' Department of Computer Science and Engineering, Indian Institute of Technology, Guwahati, 781001, India

Abstract: Network on chip (NoC) has been proposed over bus to address the communicational prerequisite of highly dense multi-core systems. NoCs with buffer-less routers gain popularity due to simplicity in the router design, low power consumption and less chip area. The state of the art of deflection router DeBAR employs side buffers instead of input port buffers, that can accommodate one among the deflected flit per router per cycle. In this paper we propose deflection history as a priority metrics for flit selection. We, modify the primitive DeBAR design, and propose priority based deflection based adaptive router (PBDeBAR) that make use of a cost effective priority scheme to choose a flit that has to be moved to the side buffer. Experimental results shows that PBDeBAR reduces latency, deflection rate, buffer occupancy and link usage with respect to the existing minimally buffered deflection routers.

Keywords: buffer-less routing; buffer occupancy; congestion; deflection; link activity; minimally buffered; penalisation; router pipeline; efficiency; side buffer.

DOI: 10.1504/EG.2017.087997

Electronic Government, an International Journal, 2017 Vol.13 No.4, pp.391 - 407

Received: 30 Jan 2017
Accepted: 15 Mar 2017

Published online: 13 Nov 2017 *

Full-text access for editors Full-text access for subscribers Purchase this article Comment on this article