Impact of deflection history based priority on adaptive deflection router for mesh NoCs
by Elizabeth Isaac; M. Rajasekhara Babu; John Jose
Electronic Government, an International Journal (EG), Vol. 13, No. 4, 2017

Abstract: Network on chip (NoC) has been proposed over bus to address the communicational prerequisite of highly dense multi-core systems. NoCs with buffer-less routers gain popularity due to simplicity in the router design, low power consumption and less chip area. The state of the art of deflection router DeBAR employs side buffers instead of input port buffers, that can accommodate one among the deflected flit per router per cycle. In this paper we propose deflection history as a priority metrics for flit selection. We, modify the primitive DeBAR design, and propose priority based deflection based adaptive router (PBDeBAR) that make use of a cost effective priority scheme to choose a flit that has to be moved to the side buffer. Experimental results shows that PBDeBAR reduces latency, deflection rate, buffer occupancy and link usage with respect to the existing minimally buffered deflection routers.

Online publication date: Mon, 13-Nov-2017

The full text of this article is only available to individual subscribers or to users at subscribing institutions.

 
Existing subscribers:
Go to Inderscience Online Journals to access the Full Text of this article.

Pay per view:
If you are not a subscriber and you just want to read the full contents of this article, buy online access here.

Complimentary Subscribers, Editors or Members of the Editorial Board of the Electronic Government, an International Journal (EG):
Login with your Inderscience username and password:

    Username:        Password:         

Forgotten your password?


Want to subscribe?
A subscription gives you complete access to all articles in the current issue, as well as to all articles in the previous three years (where applicable). See our Orders page to subscribe.

If you still need assistance, please email subs@inderscience.com