Cycle time simulation study for cluster tool operation in IC fabrication
by Amit Kumar Gupta; Appa Iyer Sivakumar; Peter Lendermann
International Journal of Manufacturing Technology and Management (IJMTM), Vol. 23, No. 3/4, 2011

Abstract: A cluster tool basically consists of one or more loadlocks where wafers enter and exit the cluster tool, two or more processing chambers where the processes are performed and one or more handlers that transport the wafers between loadlocks and processing chambers. This paper focuses on understanding the behaviour of a cluster tool system by simulation modelling, experimenting on the model and finding out the factors that influence the tool overall cycle time. The number of deposition chambers, number of robot grippers, clean cycle, MTTF and MTTR are identified as the most important factors for cycle time reduction at cluster tool in semiconductor wafer fabrication.

Online publication date: Thu, 27-Nov-2014

The full text of this article is only available to individual subscribers or to users at subscribing institutions.

 
Existing subscribers:
Go to Inderscience Online Journals to access the Full Text of this article.

Pay per view:
If you are not a subscriber and you just want to read the full contents of this article, buy online access here.

Complimentary Subscribers, Editors or Members of the Editorial Board of the International Journal of Manufacturing Technology and Management (IJMTM):
Login with your Inderscience username and password:

    Username:        Password:         

Forgotten your password?


Want to subscribe?
A subscription gives you complete access to all articles in the current issue, as well as to all articles in the previous three years (where applicable). See our Orders page to subscribe.

If you still need assistance, please email subs@inderscience.com